PIC18F6393/6493/8393/8493 Data Sheet

/var/www/html/datasheet/sites/default/files/pdfhtml_dummy/39896c-html.html
background image

 2010 Microchip Technology Inc.

DS39896C

PIC18F6393/6493/8393/8493

Data Sheet

64/80-Pin High-Performance,

Flash Microcontrollers with LCD Driver,

12-Bit ADC and nanoWatt Technology

/var/www/html/datasheet/sites/default/files/pdfhtml_dummy/39896c-html.html
background image

DS39896C-page 2

 2010 Microchip Technology Inc.

Information contained in this publication regarding device
applications and the like is provided only for your convenience
and may be superseded by updates. It is your responsibility to
ensure that your application meets with your specifications.
MICROCHIP MAKES NO REPRESENTATIONS OR
WARRANTIES OF ANY KIND WHETHER EXPRESS OR
IMPLIED, WRITTEN OR ORAL, STATUTORY OR
OTHERWISE, RELATED TO THE INFORMATION,
INCLUDING BUT NOT LIMITED TO ITS CONDITION,
QUALITY, PERFORMANCE, MERCHANTABILITY OR
FITNESS FOR PURPOSE.  Microchip disclaims all liability
arising from this information and its use. Use of Microchip
devices in life support and/or safety applications is entirely at
the buyer’s risk, and the buyer agrees to defend, indemnify and
hold harmless Microchip from any and all damages, claims,
suits, or expenses resulting from such use. No licenses are
conveyed, implicitly or otherwise, under any Microchip
intellectual property rights.

Trademarks

The Microchip name and logo, the Microchip logo, dsPIC, 
K

EE

L

OQ

, K

EE

L

OQ

 logo, MPLAB, PIC, PICmicro, PICSTART, 

PIC

32

 logo, rfPIC and UNI/O are registered trademarks of 

Microchip Technology Incorporated in the U.S.A. and other 
countries.

FilterLab, Hampshire, HI-TECH C, Linear Active Thermistor, 
MXDEV, MXLAB, SEEVAL and The Embedded Control 
Solutions Company are registered trademarks of Microchip 
Technology Incorporated in the U.S.A.

Analog-for-the-Digital Age, Application Maestro, CodeGuard, 
dsPICDEM, dsPICDEM.net, dsPICworks, dsSPEAK, ECAN, 
ECONOMONITOR, FanSense, HI-TIDE, In-Circuit Serial 
Programming, ICSP, Mindi, MiWi, MPASM, MPLAB Certified 
logo, MPLIB, MPLINK, mTouch, Octopus, Omniscient Code 
Generation, PICC, PICC-18, PICDEM, PICDEM.net, PICkit, 
PICtail, REAL ICE, rfLAB, Select Mode, Total Endurance, 
TSHARC, UniWinDriver, WiperLock and ZENA are 
trademarks of Microchip Technology Incorporated in the 
U.S.A. and other countries.

SQTP is a service mark of Microchip Technology Incorporated 
in the U.S.A.

All other trademarks mentioned herein are property of their 
respective companies.

© 2010, Microchip Technology Incorporated, Printed in the 
U.S.A., All Rights Reserved.

 Printed on recycled paper.

ISBN: 978-1-60932-436-0

Note the following details of the code protection feature on Microchip devices:

Microchip products meet the specification contained in their particular Microchip Data Sheet.

Microchip believes that its family of products is one of the most secure families of its kind on the market today, when used in the 
intended manner and under normal conditions.

There are dishonest and possibly illegal methods used to breach the code protection feature. All of these methods, to our 
knowledge, require using the Microchip products in a manner outside the operating specifications contained in Microchip’s Data 
Sheets. Most likely, the person doing so is engaged in theft of intellectual property.

Microchip is willing to work with the customer who is concerned about the integrity of their code.

Neither Microchip nor any other semiconductor manufacturer can guarantee the security of their code. Code protection does not 
mean that we are guaranteeing the product as “unbreakable.”

Code protection is constantly evolving. We at Microchip are committed to continuously improving the code protection features of our
products. Attempts to break Microchip’s code protection feature may be a violation of the Digital Millennium Copyright Act. If such acts
allow unauthorized access to your software or other copyrighted work, you may have a right to sue for relief under that Act.

Microchip received ISO/TS-16949:2002 certification for its worldwide 

headquarters, design and wafer fabrication facilities in Chandler and 

Tempe, Arizona; Gresham, Oregon and design centers in California 

and India. The Company’s quality system processes and procedures 

are for its PIC

®

 

MCUs and dsPIC

®

 DSCs, K

EE

L

OQ

®

 

code hopping 

devices, Serial EEPROMs, microperipherals, nonvolatile memory and 

analog products. In addition, Microchip’s quality system for the design 

and manufacture of development systems is ISO 9001:2000 certified.

/var/www/html/datasheet/sites/default/files/pdfhtml_dummy/39896c-html.html
background image

 2010 Microchip Technology Inc.

DS39896C-page 3

PIC18F6393/6493/8393/8493

LCD Driver Module Features:

• Direct Driving of LCD Panel
• Up to 192 Pixels: Software-Selectable
• Programmable LCD Timing module:

- Multiple LCD timing sources available
- Up to four commons: Static, 1/2, 1/3 or 1/4 multiplex
- Static, 1/2 or 1/3 bias configuration

• Can Drive LCD Panel while in Sleep mode for

 Low-Power Operation

Power-Managed Modes:

• Run: CPU On, Peripherals On
• Idle: CPU Off, Peripherals On
• Sleep: CPU Off, Peripherals Off
• Ultra Low 50 nA Input Leakage
• Run mode Current Down to 14 

A Typical

• Idle mode Currents Down to 2.3

A Typical

• Sleep mode Currents Down to 0.1

A Typical

• Timer1 Oscillator: 1.0

A, 32 kHz, 2V Typical

• Watchdog Timer: 1.7

A Typical

• Two-Speed Oscillator Start-up

Flexible Oscillator Structure:

• Four Crystal modes, up to 40 MHz
• 4x Phase Lock Loop (available for crystal and 

internal oscillators)

• Two External RC modes, up to 4 MHz
• Two External Clock modes, up to 40 MHz
• Internal Oscillator Block:

- Fast wake from Sleep and Idle, 1 

s typical

- Eight selectable frequencies, from 31 kHz to 8 MHz
- Provides a complete range of clock speeds from 

31 kHz to 32 MHz when used with PLL

- User-tunable to compensate for frequency drift

• Secondary Oscillator Using Timer1 at 32 kHz
• Fail-Safe Clock Monitor:

- Allows for safe shutdown if peripheral clock 

stops

Peripheral Highlights:

• 12-Bit, up to 12-Channel Analog-to-Digital (A/D) 

Converter module: 

- Auto-acquisition capability

- Conversion available during Sleep

• High-Current Sink/Source 25 mA/25 mA

• Four External Interrupts

• Four Input Change Interrupts

• Four 8-Bit/16-Bit Timer/Counter modules

• Real-Time Clock (RTC) Software module:

- Configurable 24-hour clock, calendar, automatic 

100-year or 12,800-year, day-of-week calculator

- Uses Timer1

• Up to Two Capture/Compare/PWM (CCP) modules

• Master Synchronous Serial Port (MSSP) module 

Supporting Three-Wire SPI (all four modes) and 

I

2

C™ Master and Slave modes

• Addressable USART module:

- Supports RS-485 and RS-232

• Enhanced Addressable USART module:

- Supports RS-485, RS-232 and LIN/J2602

- Auto-wake-up on Start bit

- Auto-Baud Detect

• Dual Analog Comparators with Input Multiplexing

• Programmable 16-Level High/Low-Voltage Detection 

(HLVD) module:

- Supports interrupt on High/Low-Voltage Detection

Special Microcontroller Features:

• C Compiler Optimized Architecture: 

- Optional extended instruction set designed to 

optimize re-entrant code

• 1000 Erase/Write Cycle Flash Program Memory, Typical

• Flash Retention: 100 Years Typical

• Priority Levels for Interrupts

• 8 x 8 Single-Cycle Hardware Multiplier

• Extended Watchdog Timer (WDT):

- Programmable period from 4 ms to 132s

- 2% stability over V

DD

 and temperature

• In-Circuit Serial Programming™ (ICSP™) via Two Pins

• In-Circuit Debug (ICD) via Two Pins

• Wide Operating Voltage Range: 2.0V to 5.5V

• Programmable Brown-out Reset (BOR) with 

Software Enable Option 

Note: This document is supplemented by the

PIC18F6390/6490/8390/8490 Data Sheet”

(DS39629). See Section 1.0 “Device Overview”.

Device

Program Memory

Data 

Memory

I/O

LCD

(pixel)

12-Bit

A/D

(channels)

CCP

(PWM)

MSSP

EU

SA

R

T

/

AUS

ART

Comparators

Timers

8/16-Bit

Flash

(bytes)

# Single-Word

Instructions

 SRAM
(bytes)

SPI

Master

I

2

C™

PIC18F6393

8K

4096

768

50

128

12

2

Y

Y

1/1

2

1/3

PIC18F6493

16K

8192

768

50

128

12

2

Y

Y

1/1

2

1/3

PIC18F8393

8K

4096

768

66

192

12

2

Y

Y

1/1

2

1/3

PIC18F8493

16K

8192

768

66

192

12

2

Y

Y

1/1

2

1/3

64/80-Pin High-Performance, Flash Microcontrollers

with LCD Driver, 12-Bit ADC and nanoWatt Technology

/var/www/html/datasheet/sites/default/files/pdfhtml_dummy/39896c-html.html
background image

PIC18F6393/6493/8393/8493

DS39896C-page 4

 2010 Microchip Technology Inc.

Pin Diagrams   

64-Pin TQFP

Note 1:

RE7 is the alternate pin for CCP2 multiplexing

.

1
2
3
4
5
6
7
8
9
10
11
12
13
14

38
37
36
35
34
33

50 49

17 18 19 20 21 22 23 24 25 26

L

CDBIAS3

CO

M

0

RE4

/COM

1

RE5

/COM

2

RE6

/COM

3

RE7

/CCP2

(1

)

/SEG

3

1

RD0

/SEG0

V

DD

V

SS

RD1

/SEG1

RD2

/SEG2

RD3

/SEG3

RD4

/SEG4

RD5

/SEG5

RD6

/SEG6

RD7

/SEG7

LCDBIAS2
LCDBIAS1

RG0/SEG30

RG1/TX2/CK2/SEG29

RG2/RX2/DT2/SEG28

RG3/SEG27

MCLR/V

PP

/RG5

RG4/SEG26

V

SS

V

DD

RF7/SS/SEG25

RF6/AN11/SEG24

RF5/AN10/CV

REF

/SEG23

RF4/AN9/SEG22
RF3/AN8/SEG21

RF2/AN7/C1OUT/SEG20

RB0/INT0
RB1/INT1/SEG8
RB2/INT2/SEG9
RB3/INT3/SEG10
RB4/KBI0/SEG11
RB5/KBI1
RB6/KBI2/PGC
V

SS

OSC2/CLKO/RA6
OSC1/CLKI/RA7
V

DD

RB7/KBI3/PGD

RC4/SDI/SDA
RC3/SCK/SCL
RC2/CCP1/SEG13

R

F

0/A

N

5/

S

E

G

18

R

F

1/

A

N

6/C

2

OU

T/

S

E

G

19

AV

DD

AV

SS

RA3

/AN3

/V

RE

F

+/

SEG

17

RA2

/AN2

/V

RE

F

-/

SEG

16

RA1

/A

N

1

RA0

/A

N

0

V

SS

V

DD

RA4

/T

0

CKI/SEG1

4

RA5

/AN4

/HL

V

D

IN

/SEG

15

RC1

/T

1O

S

I/CCP2

(1

)

RC0

/T

1

O

SO/T

13

CK

I

RC

7/

RX1

/DT

1

RC6/T

X

1/CK

1

RC5/SDO/SEG12

15
16

31

40
39

27 28 29 30

32

48
47
46
45
44
43
42
41

54 53 52 51

58 57 56 55

60 59

64 63 62 61

PIC18F6393
PIC18F6493

/var/www/html/datasheet/sites/default/files/pdfhtml_dummy/39896c-html.html
background image

 2010 Microchip Technology Inc.

DS39896C-page 5

PIC18F6393/6493/8393/8493

Pin Diagrams (Continued

)

80-Pin TQFP

Note 1:

RE7 is the alternate pin for CCP2 multiplexing

.

3
4
5
6
7
8
9
10
11
12
13
14
15
16

48
47
46
45
44
43
42
41

40

39

64 63 62 61

21 22 23 24 25 26 27 28 29 30 31 32

LCDBIAS3

COM

0

RE

4

/COM

1

RE

5

/COM

2

RE

6

/COM

3

RE

7

/CCP2

(1

)

/SEG

3

1

RD0

/SEG0

V

DD

V

SS

RD1

/SEG1

RD2

/SEG2

RD3

/SEG3

RD4

/SEG4

RD5

/SEG5

RD6

/SEG6

RD7

/SEG7

LCDBIAS2
LCDBIAS1

RG0/SEG30

RG1/TX2/CK2/SEG29

RG2/RX2/DT2/SEG28

RG3/SEG27

MCLR/V

PP

/RG5

RG4/SEG26

V

SS

V

DD

RF7/SS/SEG25

RB0/INT0
RB1/INT1/SEG8
RB2/INT2/SEG9
RB3/INT3/SEG10
RB4/KBI0/SEG11
RB5/KBI1
RB6/KBI2/PGC
V

SS

OSC2/CLKO/RA6
OSC1/CLKI/RA7
V

DD

RB7/KBI3/PGD

RC4/SDI/SDA
RC3/SCK/SCL
RC2/CCP1/SEG13

RF0

/AN5

/SE

G

18

R

F

1/

A

N

6/C

2

OU

T

/S

E

G

19

AV

DD

AV

SS

RA3

/AN3

/V

RE

F

+/

SEG

17

RA

2

/A

N

2/

V

RE

F

-/

SEG

16

RA1

/A

N

1

RA0

/A

N

0

V

SS

V

DD

RA4

/T

0

CKI/SEG1

4

R

A

5

/AN4

/HL

V

D

IN

/SEG

15

RC1

/T

1OS

I/CC

P

2

(1

)

RC0

/T

1

O

SO/T

13

CK

I

R

C

7/R

X

1/

D

T

1

RC6/T

X

1/CK

1

RC5/SDO/SEG12

RJ

0/

SEG

3

2

RJ

1/

SEG

3

3

RH1

/SEG4

6

RH0

/S

EG

47

1
2

RH2/SEG45
RH3/SEG44

17
18

RH7/SEG43
RH6/SEG42

RH5

/S

EG

41

RH4

/S

EG

40

RJ5

/SE

G

38

RJ4

/SE

G

39

37

RJ7/SEG36
RJ6/SEG37

50
49

RJ2/SEG34
RJ3/SEG35

19
20

33 34 35 36

38

58
57
56
55
54
53
52
51

60
59

68 67 66 65

72 71 70 69

74 73

78 77 76 75

79

80

RF5/AN10/CV

REF

/SEG23

RF4/AN9/SEG22
RF3/AN8/SEG21

RF2/AN7/C1OUT/SEG20

RF6/AN11/SEG24

PIC18F8393
PIC18F8493

/var/www/html/datasheet/sites/default/files/pdfhtml_dummy/39896c-html.html
background image

PIC18F6393/6493/8393/8493

DS39896C-page 6

 2010 Microchip Technology Inc.

Table of Contents

1.0

Device Overview .......................................................................................................................................................................... 9

2.0

12-Bit Analog-to-Digital Converter (A/D) Module ....................................................................................................................... 31

3.0

Special Features of the CPU...................................................................................................................................................... 41

4.0

Electrical Characteristics  ........................................................................................................................................................... 43

5.0

Packaging Information................................................................................................................................................................ 47

Appendix A: Revision History............................................................................................................................................................... 53
Appendix B: Device Differences........................................................................................................................................................... 53
Appendix C: Conversion Considerations ............................................................................................................................................. 54
Appendix D: Migration from Baseline to Enhanced Devices................................................................................................................ 54
Appendix E: migration from Mid-Range to Enhanced Devices ............................................................................................................ 55
Appendix F: Migration from High-End to Enhanced Devices ............................................................................................................... 55
Index .................................................................................................................................................................................................... 57
The Microchip Web Site ....................................................................................................................................................................... 59
Customer Change Notification Service ................................................................................................................................................ 59
Customer Support ................................................................................................................................................................................ 59
Reader Response ................................................................................................................................................................................ 60
Product Identification System............................................................................................................................................................... 61

/var/www/html/datasheet/sites/default/files/pdfhtml_dummy/39896c-html.html
background image

 2010 Microchip Technology Inc.

DS39896C-page 7

PIC18F6393/6493/8393/8493

TO OUR VALUED CUSTOMERS

It is our intention to provide our valued customers with the best documentation possible to ensure successful use of your Microchip
products. To this end, we will continue to improve our publications to better suit your needs. Our publications will be refined and
enhanced as new volumes and updates are introduced. 
If you have any questions or comments regarding this publication, please contact the Marketing Communications Department via
E-mail at docerrors@microchip.com or fax the Reader Response Form in the back of this data sheet to (480) 792-4150. We
welcome your feedback.

Most Current Data Sheet

To obtain the most up-to-date version of this data sheet, please register at our Worldwide Web site at:

http://www.microchip.com

You can determine the version of a data sheet by examining its literature number found on the bottom outside corner of any page.
The last character of the literature number is the version number, (e.g., DS30000A is version A of document DS30000).

Errata

An errata sheet, describing minor operational differences from the data sheet and recommended workarounds, may exist for current
devices. As device/documentation issues become known to us, we will publish an errata sheet. The errata will specify the revision
of silicon and revision of document to which it applies.
To determine if an errata sheet exists for a particular device, please check with one of the following:
• Microchip’s Worldwide Web site; http://www.microchip.com
• Your local Microchip sales office (see last page)
When contacting a sales office, please specify which device, revision of silicon and data sheet (include literature number) you are
using.

Customer Notification System

Register on our web site at www.microchip.com to receive the most current information on all of our products.

/var/www/html/datasheet/sites/default/files/pdfhtml_dummy/39896c-html.html
background image

PIC18F6393/6493/8393/8493

DS39896C-page 8

 2010 Microchip Technology Inc.

NOTES:

/var/www/html/datasheet/sites/default/files/pdfhtml_dummy/39896c-html.html
background image

 2010 Microchip Technology Inc.

DS39896C-page 9

PIC18F6393/6493/8393/8493

1.0

DEVICE OVERVIEW

This document contains device-specific information for
the following devices:

This family offers the advantages of all PIC18
microcontrollers – namely, high computational
performance at an economical price. In addition to
these features, the PIC18F6393/6493/8393/8493
family introduces design enhancements that
make these microcontrollers a logical choice for many
high-performance, power-sensitive applications.

1.1

Special Features

• 12-Bit A/D Converter: This module incorporates 

programmable acquisition time, allowing for a 
channel to be selected and a conversion to be 
initiated without waiting for a sampling period and 
thus, reduces code overhead.

1.2

Details on Individual Family 
Members

Devices in the PIC18F6393/6493/8393/8493 family are
available in 64-pin (PIC18F6X93) and 80-pin
(PIC18F8X93) packages. Block diagrams for the two
groups are shown in Figure 1-1 and Figure 1-2,
respectively. 
The devices are differentiated from each other in the
following ways:
• I/O Ports:

- 64-pin devices – 7 bidirectional ports
- 80-pin devices – 9 bidirectional ports

• LCD Pixels:

- 64-pin devices – 128 (32 SEGs x 4 COMs) 

pixels can be driven

- 80-pin devices – 192 (48 SEGs x 4 COMs) 

pixels can be driven

• Flash Program Memory:

- PIC18FX393 devices – 8 Kbytes
- PIC18FX493 devices – 16 Kbytes

All other features for devices in this family are identical.
These are summarized in Table 1-1.
The pinouts for all devices are listed in Table 1-2 and
Table 1-3.
Like all Microchip PIC18 devices, members of the
PIC18F6393/6493/8393/8493 family are available as
both standard and low-voltage devices. Standard
devices with Flash memory, designated with an “F” in
the part number (such as PIC18F6393), accommodate
an operating V

DD

 range of 4.2V to 5.5V. Low-voltage

parts, designated by “LF” (such as PIC18LF6490),
function over an extended V

DD

 range of 2.0V to 5.5V. 

• PIC18F6393

• PIC18F8393

• PIC18F6493

• PIC18F8493

Note: This data sheet documents only the devices’

features and specifications that are in addition
to the features and specifications of the
PIC18F6390/6490/8390/8490 devices. For
information on the features and
specifications shared by the PIC18F6393/
6493/8393/8493 and PIC18F6390/6490/
8390/8490 devices, see the PIC18F6390/
6490/8390/8490 Data Sheet” (DS39629).

/var/www/html/datasheet/sites/default/files/pdfhtml_dummy/39896c-html.html
background image

PIC18F6393/6493/8393/8493

DS39896C-page 10

 2010 Microchip Technology Inc.

TABLE 1-1:

DEVICE FEATURES   

  

Features

PIC18F6393

PIC18F6493

PIC18F8393

PIC18F8493

Operating Frequency

DC – 40 MHz

DC – 40 MHz

DC – 40 MHz

DC – 40 MHz

Program Memory (Bytes)

8K

16K

8K

16K

Program Memory (Instructions)

4096

8192

4096

8192

Data Memory (Bytes)

768

768

768

768

Interrupt Sources

22

22

22

22

I/O Ports

Ports A, B, C, D, E, 

F, G

Ports A, B, C, D, E, 

F, G

Ports A, B, C, D, E, 

F, G, H, J

Ports A, B, C, D, E, 

F, G, H, J

Number of Pixels the LCD Driver 
Can Drive

128 (32 SEGs x 

4 COMs)

128 (32 SEGs x 

4 COMs)

192 (48 SEGs x 

4 COMs)

192 (48 SEGs x 

4 COMs)

Timers

4

4

4

4

Capture/Compare/PWM Modules

2

2

2

2

Serial Communications

MSSP, AUSART,

Enhanced USART

MSSP, AUSART, 

Enhanced USART

MSSP, AUSART,

Enhanced USART

MSSP, AUSART,

Enhanced USART

12-Bit Analog-to-Digital Module

12 Input Channels 12 Input Channels 12 Input Channels 12 Input Channels

Resets (and Delays)

POR, BOR, 

RESET

 Instruction, 

Stack Full, 

Stack Underflow 

(PWRT, OST), 

MCLR (optional), 

WDT

POR, BOR, 

RESET

 Instruction, 

Stack Full, 

Stack Underflow 

(PWRT, OST), 

MCLR (optional), 

WDT

POR, BOR, 

RESET

 Instruction, 

Stack Full, 

Stack Underflow 

(PWRT, OST), 

MCLR (optional), 

WDT

POR, BOR, 

RESET

 Instruction, 

Stack Full, 

Stack Underflow 

(PWRT, OST), 

MCLR (optional), 

WDT

Programmable Low-Voltage Detect

Yes

Yes

Yes

Yes

Programmable Brown-out Reset

Yes

Yes

Yes

Yes

Instruction Set

75 Instructions; 

83 with Extended 

Instruction Set 

Enabled

75 Instructions; 

83 with Extended 

Instruction Set 

Enabled

75 Instructions; 

83 with Extended 

Instruction Set 

Enabled

75 Instructions; 

83 with Extended 

Instruction Set 

Enabled

Packages

64-Pin TQFP

64-Pin TQFP

80-Pin TQFP

80-Pin TQFP

Maker
Microchip Technology Inc.
Datasheet PDF Download